微波炉可以加热水吗:Debussy 技巧

来源:百度文库 编辑:偶看新闻 时间:2024/04/29 11:34:25

Debussy 技巧  

2008-03-20 22:15:33|  分类: EdaTools |字号 订阅

这部分我们通过一个个专题讲述如何使用Debussy,其中涉及到的例子可以在debussy 的安装目录下的/demo 可以找到。

(一) 如何加载设计
方式1:命令行加载设计
> debussy –f run.f &
方式2:菜单命令加载
> debussy (运行调用debussy,出现nTrace 界面)
File-> Import Design
选中From File 栏
选中run.f,点击ADD,再点击OK。
上 面两种方式Debussy 都会自动编译run.f 中的所有源文件,而且run.f 文件支持-v这种选项,这样在调用ASIC 的时候可以自动加载使用到的库元件。编译完所有文件之后信息窗口就会显示编译信息,可以通过调用File->ViewImport Log 在独立的窗口查看详细的信息报告。

(二) 如何调用波形窗口和加载波形文件
nTrace 中左上角(如图红色圈内)分别是波形窗口,原理图窗口和源代码窗口的启动键,点击即可启动一个新的窗口。
 
启 动波形窗口之后,选择File->Open,就可以打开波形文件,默认方式是fsdb 格式的波形文件,也可以选择vcd 格式的,debussy 在加载的时候自动转换格式。从nTrace的层次窗口或者源代码窗口中选中某个模块或者某个信号(使用中键)拉弋到波形窗口中即可显示对模块的IO 信号或者对应的信号。注意debussy 的波形窗口默认不显示信号的层次结构。也可以通过波形窗口中的Signal->Get Signals 或者点击Get Signal 图标来添加信号。

(三) 如何在波形窗口,源代码窗口中追溯信号的驱动源
在波形窗口中我们可以通过双击信号的波形来追 溯信号的驱动源,从而定位错误出现的位置。在源代码窗口中可以通过双击信号的名字来追溯该信号的驱动源。在源代码窗口中也可以通过右键菜单来实现这个功 能。在窗口中选择Trace->Reset Traced Signals’s Color 可以取消追溯过程中带来的信号高亮度的问题。一般情况下我们是从信号的波形图中某一时刻的波形异常处双击该波形,追溯到源代码窗口中的对应赋值语句,从而 找到该时刻的驱动源。

(四) 如何让波形,源代码和原理图三个窗口同步显示
我们可以通过选择Tool->Options->Sync Signal Selection,来同步所有窗口中的信号。一旦你在nWave 或者源代码窗口或者原理图窗口选择了任何一个信号,其他两个窗口也会同步显示该信号。

(五) 如何反标信号数值到源代码和原理图窗口中
在很多调试情况下,在源代码窗口显示信号或者总线的 数值也有一定的好处。nTrace提供了这样一个功能,Source->Active Annotation。信号值显示Cursor 当前时刻的数值。在原理图窗口中也可以反标,Schematic->Active Annotation。

(六) 如何保存当前调试的所有设置和界面
在你启动debussy 到退出debussy 之前是一段很长的调试过程,你可以保存当前的调试环境,并在下次调用debussy 的时候使用。Debussy 自动把当前的调试环境保存在工作目录下的DebussyLog 的lastSession.ses 中。File->Save Session 保存当前调试状态,下次启动后File->Restore Session.

(七) 在波形窗口的信号名一栏中使用中键确定添加信号的切入位置

(八) 如何使用Cursor 和Marker
nWave 的波形窗口中默认有一个Cursor (黄色)和一个Marker (白色),左键是Cursor,中键是Marker,在波形窗口中右键是放大Cursor 和Marker 之间波形的快捷键。默认情况下Cursor 和Marker 都是停留在信号的Transition 处,你可以通过点击Wave->Snap Cursor To Transitions 关闭或者启动这个功能。

(九) 如何给信号起别名
在某些情况下,为了分析方便,如分析状态机状态跳变,我们可以通过使用起别 名的方法。通过使用Waveform->Signal Value Radix ->Add Alias from File 或者Waveform->Signal Value Radix ->Browse Alias 命令来实现。
在这里我们张贴出demo 程序中的一个CPU 状态机的alias 文件,供大家使用参考:
CLRA     8'h04
LDA        8'h14
LDA-    8'h18
STA        8'h28
STA-    8'h2C
ADDA     8'h34
ADDA- 8'h38
SUBA     8'h48
INCA      8'h08
LDX        8'h54
TAX        8'h0C
JMP        8'h8C
BNZ        8'h64
DATA      8'h20

(十) 如何自定义总线信号
创建自己想要的总线信号,如在16bit 的总线中,你只想看到其中的偶数位的总线,或者你想把几条信号合并成一条总线信号,都可以在debussy 中轻松实现。选中要操作的信号或者总线,Signal->Create Bus.

(十一) 如何保存信号列表
在调试的过程中,你或许会打开很多窗口,同时你也会添加了很多信号,在下次调试的时候你仍然希望添加这些信号,可以通过保存信号的来实现这个想法。
File->Save Signal,把信号保存在*.rc 的文件中,下次打开仿真结果,通过Flie->Restore Signal 就可以看到希望的信号了。

(十二) 如何使用信号网格
在某些情况下,通过Cursor 和Maker 来计算Delta 时间是很难估算出两个信号(或者是信号的边沿)之间的周期数的,你可以选中信号的驱动时钟,通过添加网格线的方法来实现周期数的计算。View-> Grid on Rising Edge,这样子网格线就会出现在每个周期中,View->Grid Count 来显示从Cursor 到每个网格线的网格个数。

(十三) 如何同步两个波形窗口
使用两个窗口来分析两个相关的仿真结果。为了保持两个仿真数据处于同一个参考点,我们可以选择Windows->Sync Waveform View 来实现。取消这个设置可以重新选择这个选项。

(十四) 如何显示模拟波形
在波形窗口中File->Open ,通过修改文件过滤为*.*,打开PowerMill 输出的powermill.out 格式的文件结果。其他操作类似数字波形。

(十五) 如何在同一个窗口中观察不同的仿真结果
nWave 允许在一个窗口中显示多个仿真结果。首先打开不同的仿真结果。在添加信号之前,激活你想看的仿真结果,File->Set Active 转换到对应的仿真结果上。